چگونه چیپ GPU طراحی کنیم
Chapter 9 Power Energy and Thermal Management

اینجا ترجمه فارسی برای فایل مارک‌داون ارائه شده است. برای کد، فقط توضیحات ترجمه شده‌اند.

فصل 9: توان، انرژی و مدیریت گرمایی

همانطور که GPU ها به شتاب‌دهنده‌های برنامه‌پذیر و موازی‌سازی شده قادر به ارائه پهنای باند محاسباتی فوق‌العاده تبدیل شده‌اند، مدیریت مصرف توان و تولید گرمای آنها اهمیت روزافزونی پیدا کرده است. مصرف توان بالا نه تنها منجر به افزایش هزینه‌های انرژی و کاهش عمر باتری در دستگاه‌های همراه می‌شود، بلکه استفاده از راه‌حل‌های خنک‌کننده پیشرفته‌تر و تکنیک‌های بسته‌بندی را نیز لازم می‌سازد تا عملکرد قابل اعتماد حفظ شود. در این فصل، به بررسی منابع مصرف توان در GPU ها، تکنیک‌های کنترل ساعت و توان، مقیاس‌پذیری دینامیکی ولتاژ و فرکانس (DVFS) و همچنین راه‌حل‌های مختلف خنک‌سازی و بسته‌بندی GPU ها خواهیم پرداخت.

منابع مصرف توان در GPU ها

برای مدیریت مؤثر مصرف توان در GPU ها، درک منابع اصلی تلفات توان ضروری است. مصرف توان در GPU ها را می‌توان به دو دسته توان دینامیکی و توان استاتیک تقسیم کرد.

توان دینامیکی

توان دینامیکی توانی است که GPU هنگام پردازش فعال داده و اجرای دستورالعمل‌ها مصرف می‌کند. مصرف توان دینامیکی در یک GPU را می‌توان با استفاده از معادله زیر بیان کرد:

P_dynamic = α * C * V^2 * f

که در آن:

  • α ضریب فعالیت است که نسبت ترانزیستورهای در حال سوئیچ را نشان می‌دهد.
  • C کل خازن ترانزیستورهای در حال سوئیچ است.
  • V ولتاژ تغذیه است.
  • f فرکانس عملیاتی است.

از این معادله مشاهده می‌شود که مصرف توان دینامیکی متناسب با مجذور ولتاژ تغذیه و به صورت خطی با فرکانس عملیاتی است. بنابراین، کاهش ولتاژ یا فرکانس می‌تواند منجر به کاهش قابل توجه در مصرف توان دینامیکی شود.

ضریب فعالیت α به بار کاری خاص در حال اجرا و میزان استفاده از اجزای مختلف GPU بستگی دارد. به عنوان مثال، یک بار کاری محاسباتی شدید که هسته‌های GPU را اشغال نگه می‌دارد، ضریب فعالیت بالاتری نسبت به یک بار کاری وابسته به حافظه که بیشتر زمان را در انتظار صرف می‌کند، خواهد داشت.اینجا ترجمه فارسی مارک‌داون فایل داده شده است:

توان ایستا

توان ایستا، که به آن توان نشتی نیز گفته می‌شود، توانی است که GPU حتی زمانی که بیکار است و در حال پردازش داده نیست، مصرف می‌کند. توان ایستا عمدتاً به علت جریان‌های نشتی در ترانزیستورها است و با کوچک‌تر شدن اندازه ترانزیستورها، به عنوان بخشی رو به رشد از کل مصرف توان محسوب می‌شود.

مصرف توان ایستا را می‌توان با استفاده از معادله زیر بیان کرد:

P_static = I_leakage * V

که در آن:

  • I_leakage کل جریان نشتی است
  • V ولتاژ تأمین است

جریان نشتی تحت تأثیر عواملی مانند اندازه ترانزیستور، ولتاژ آستانه و دما قرار دارد. با کوچک‌تر شدن ترانزیستورها، جریان نشتی افزایش می‌یابد، منجر به افزایش مصرف توان ایستا می‌شود. همچنین، دماهای بالاتر موجب افزایش جریان نشتی می‌شوند، ایجاد یک حلقه بازخورد مثبت که می‌تواند به پایداری حرارتی منجر شود اگر به درستی مدیریت نشود.

شکل 9.1 تجزیه و تحلیل مصرف توان دینامیک و ایستا در یک GPU معمولی را نشان می‌دهد.

        توان دینامیک (70%)
       /                  \
      /                    \
     /                      \
    /                        \
   /                          \
  /                            \
 /                              \
/                                \
|----------------------------------|
|                                  |
|         توان ایستا (30%)      |
|                                  |
|----------------------------------|

شکل 9.1: تجزیه و تحلیل مصرف توان دینامیک و ایستا در یک GPU معمولی.

تکنیک‌های غیرفعال کردن ساعت و توان

غیرفعال کردن ساعت و غیرفعال کردن توان، دو تکنیک گسترده‌ استفاده شده برای کاهش مصرف توان در GPU ها با غیرفعال کردن مؤلفه‌های استفاده نشده یا بیکار هستند.

غیرفعال کردن ساعت

غیرفعال کردن ساعت تکنیکی است که سیگنال ساعت را به یک مؤلفه یا واحد عملکردی خاص زمانی که در حال استفاده نیست، غیرفعال می‌کند. با جلوگیری از رسیدن سیگنال ساعت به مؤلفه‌های بیکار، غیرفعال کردن ساعت توان دینامیک رادر اینجا ترجمه فارسی فایل مارک‌داون ارائه شده است. برای کد، تنها نظرات ترجمه شده‌اند و خود کد تغییر نکرده است.

مصرف توان مرتبط با سوئیچینگ ترانزیستورهای غیرضروری.

شکل 9.2 مفهوم کلاک گیتینگ را نشان می‌دهد.

           کلاک
             |
             |
             |
             |
             |
         کلاک گیتینگ
         سیگنال فعال‌سازی
             |
             |
             |
             |
             |
        +---------+
        |         |
        |  گیت   |
        |  کلاک  |
        |         |
        +---------+
             |
             |
             |
             |
        واحد عملکردی

شکل 9.2: مفهوم کلاک گیتینگ.

در این مثال، سیگنال کلاک توسط یک سیگنال فعال‌سازی گیت می‌شود، که توسط واحد مدیریت توان GPU کنترل می‌شود. وقتی واحد عملکردی مورد نیاز نیست، سیگنال فعال‌سازی غیرفعال می‌شود و از رسیدن کلاک به واحد عملکردی جلوگیری می‌کند و با این کار مصرف توان دینامیک آن را از بین می‌برد.

کلاک گیتینگ می‌تواند در سطوح مختلفی اعمال شود، از واحدهای عملکردی فردی تا هسته‌های GPU یا حتی زیرسیستم‌های بزرگ‌تر. کلاک گیتینگ با دانه‌بندی ریز کنترل دقیق‌تری بر مصرف توان دارد، اما نیازمند منطق کنترلی پیچیده‌تری است و ممکن است هزینه‌های اضافی را به همراه داشته باشد. در مقابل، کلاک گیتینگ با دانه‌بندی درشت‌تر ساده‌تر است اما ممکن است صرفه‌جویی توان بهینه‌تری نداشته باشد.

قطع کردن توان

قطع کردن توان (Power Gating) تکنیکی است که به طور کامل منبع تغذیه را از یک مولفه یا واحد عملکردی خاص زمانی که مورد استفاده نیست، جدا می‌کند. با قطع کردن منبع تغذیه، قطع کردن توان هم مصرف توان دینامیک و هم مصرف توان استاتیک مرتبط با آن مولفه را از بین می‌برد.

شکل 9.3 مفهوم قطع کردن توان را نشان می‌دهد.

           منبع تغذیه
                |
                |
            کلید توان
                |
                |
        +--------------+
        |              |
        |  واحد       |
        |  عملکردی    |
        |              |
        +--------------+

شکل 9.3: مفهوم قطع کردن توان.

در این مثال، یک کلید توان برای قطع و وصل کردن توان به واحد عملکردی استفاده می‌شود.اینجا ترجمه فارسی فایل مارک‌داون ارائه شده است. برای کد، تنها توضیحات را ترجمه کرده‌ایم و خود کد را تغییر ندادیم.

بین منبع تغذیه و واحد عملکردی قرار دارد. هنگامی که واحد عملکردی مورد نیاز نیست، کلید برق خاموش می‌شود و منبع تغذیه را کاملاً از واحد عملکردی جدا می‌کند و مصرف توان پویا و ایستا را از بین می‌برد.

قفل کردن برق را می‌توان در سطوح مختلفی اعمال کرد، از واحدهای عملکردی انفرادی تا هسته‌های کامل GPU یا حتی زیرسیستم‌های بزرگ‌تر. قفل کردن برق دقیق‌تر کنترل بیشتری بر مصرف توان فراهم می‌کند، اما نیازمند منطق کنترل پیچیده‌تری است و ممکن است هزینه اضافی را معرفی کند. از سوی دیگر، قفل کردن برق ناچیز ساده‌تر است اما ممکن است منجر به صرفه‌جویی بهینه‌تر در توان نشود.

پیاده‌سازی قفل کردن برق نیازمند در نظر گرفتن طراحی دقیق در موارد زیر است:

  1. منطق کنترل قفل کردن برق: مدارهای الکترونیکی برای تعیین زمان روشن و خاموش کردن قفل کردن برق بر اساس فعالیت واحد عملکردی مورد نیاز است. این منطق کنترل باید تأثیر عملکرد قفل کردن برق را به حداقل برساند.

  2. نگه‌داری وضعیت: هنگامی که واحد عملکردی قفل برق می‌شود، وضعیت داخلی آن (مانند مقادیر رجیستر) از دست می‌رود. اگر وضعیت باید در طول چرخه‌های قفل برق حفظ شود، مکانیزم‌های نگه‌داری وضعیت اضافی مانند رجیستر سایه یا حافظه مورد نیاز است.

  3. هزینه قفل کردن برق: روشن و خاموش کردن قفل برق مقداری تأخیر و هزینه انرژی را معرفی می‌کند. این هزینه باید به حداقل برسد تا مزایای قفل برق بر هزینه‌های آن غلبه کند.

  4. تقسیم‌بندی دامنه برق: معماری GPU باید به دامنه‌های برق مناسب تقسیم شود، هر کدام با کنترل قفل برق خود، به منظور حداکثرسازی صرفه‌جویی در توان با کمترین تأثیر بر عملکرد.

مثال: قفل کردن برق واحدهای اجرای در معماری Fermi NVIDIA

در معماری Fermi NVIDIA، هر ماشین چند‌پردازنده‌ای (SM) شامل 32 هسته CUDA است که به دو گروه 16 هسته‌ای سازمان‌دهی شده‌اند. هنگامی که GPU در حال اجرای یک بار کاری با موازی‌سازی محدود است، ممکن است نیاز نباشد که تمام 32 هسته CUDA در هر SM فعال باشند.در این مورد، معماری فرمی می‌تواند یک گروه از ۱۶ هسته‌ی CUDA را برای کاهش مصرف توان خاموش کند.

شکل ۹.۴ نشان‌دهنده‌ی خاموش کردن واحدهای اجرایی در یک SM فرمی است.

                 حوزه پردازش
        +-----------------+
        |                 |
        |   هسته‌های CUDA  |
        |   (گروه ۱)       |
        |                 |
        |   کلید قطع توان  |
        |                 |
        |   هسته‌های CUDA  |
        |   (گروه ۲)       |
        |                 |
        +-----------------+

شکل ۹.۴: خاموش کردن واحدهای اجرایی در یک SM فرمی.

زمانی که بار کاری همه‌ی ۳۲ هسته‌ی CUDA را نیاز نداشته باشد، کلید قطع توان می‌تواند خاموش شود، گروه دوم ۱۶ هسته‌ی CUDA را خاموش کرده و مصرف توان SM را کاهش دهد.

مقیاس‌سازی پویای ولتاژ و فرکانس (DVFS)

مقیاس‌سازی پویای ولتاژ و فرکانس (DVFS) یک تکنیک است که به طور پویا ولتاژ و فرکانس یک GPU را بر اساس بار کاری فعلی و الزامات عملکردی تنظیم می‌کند. با کاهش ولتاژ و فرکانس در طول دوره‌های استفاده‌ی کم، DVFS می‌تواند مصرف توان را به طور قابل توجهی کاهش دهد بدون اینکه عملکرد را خیلی تحت تأثیر قرار دهد.

مصرف توان یک GPU متناسب با مجذور ولتاژ و به طور خطی با فرکانس است، همانطور که در معادله‌ی توان پویا نشان داده می‌شود:

P_dynamic = α * C * V^2 * f

جایی که:

  • α فاکتور فعالیت است
  • C خازن است
  • V ولتاژ است
  • f فرکانس است

با کاهش ولتاژ و فرکانس، DVFS می‌تواند به طور مکعبی مصرف توان پویا را کاهش دهد.

DVFS معمولاً با استفاده از ترکیبی از تکنیک‌های سخت‌افزاری و نرم‌افزاری پیاده‌سازی می‌شود:

۱. حوزه‌های ولتاژ و فرکانس: GPU به چندین حوزه‌ی ولتاژ و فرکانس تقسیم می‌شود که هر کدام می‌توانند به طور مستقل کنترل شوند. این امکان کنترل دقیق مصرف توان و عملکرد را فراهم می‌کند.

۲. پایش عملکرد: شمارنده‌های عملکرد سخت‌افزاری و حسگرها برای پایش بار کاری و دمای GPU استفاده می‌شوند.این اطلاعات توسط منطق کنترل DVFS برای تصمیم‌گیری در مورد زمان و چگونگی تنظیم ولتاژ و فرکانس استفاده می‌شود.

  1. منطق کنترل DVFS: منطق کنترل نرم‌افزاری یا سخت‌افزاری مسئول تعیین تنظیمات ولتاژ و فرکانس مناسب بر اساس بار کاری فعلی و الزامات عملکرد است. این منطق کنترل ممکن است از الگوریتم‌های مختلفی مانند جستجوی مبتنی بر جدول یا کنترل بازخوردی بسته استفاده کند تا تصمیمات DVFS را اتخاذ کند.

  2. مقیاس‌سازی ولتاژ و فرکانس: پس از آنکه منطق کنترل DVFS هدف ولتاژ و فرکانس را تعیین کرد، تنظیم‌کننده ولتاژ و مولد ساعت سخت‌افزار به تنظیمات جدید تنظیم می‌شوند. این فرآیند ممکن است چند سیکل ساعت به طول بینجامد که در طی آن GPU ممکن است نیاز به توقف یا عملکرد در سطح کاهش یافته داشته باشد.

مثال: DVFS در معماری Fermi NVIDIA

معماری Fermi NVIDIA دارای کنترل‌کننده DVFS سخت‌افزاری است که می‌تواند ولتاژ و فرکانس GPU را بر اساس بار کاری فعلی و شرایط گرمایی به طور پویا تنظیم کند. معماری Fermi پشتیبانی از چندین حوزه ولتاژ و فرکانس را دارد که کنترل مستقل هسته GPU و زیرسیستم حافظه را امکان‌پذیر می‌سازد.

شکل 9.5 سیستم DVFS در معماری Fermi را نشان می‌دهد.

        +--------------------+
        |                    |
        |   GPU Core Domain  |
        |                    |
        +--------------------+
                 |
                 |
        +--------------------+
        |                    |
        |  DVFS Controller   |
        |                    |
        +--------------------+
                 |
                 |
        +--------------------+
        |                    |
        | Memory Domain      |
        |                    |
        +--------------------+

شکل 9.5: سیستم DV FS در معماری Fermi.

کنترل‌کننده DVFS بار کاری و شرایط گرمایی GPU را پایش می‌کند و تنظیمات ولتاژ و فرکانس را متناسب با آن تنظیم می‌کند. به عنوان مثال، اگر GPU در حال اجرای بار سنگیندر اینجا ترجمه فارسی فایل مارکداون ارائه شده است. برای کد، فقط نظرات را ترجمه کرده‌ایم، نه خود کد:

زمانی که بار کاری پردازشی-محور است و دمای دستگاه زیر آستانه‌ای خاص است، کنترلر DVFS ممکن است ولتاژ و فرکانس را افزایش دهد تا عملکرد را بهبود بخشد. برعکس، اگر GPU بیکار باشد یا بار کاری حافظه-محور داشته باشد، کنترلر DVFS ممکن است ولتاژ و فرکانس را کاهش دهد تا مصرف توان را کاهش دهد.

DVFS می‌تواند مصرف توان GPU را به طور قابل توجهی کاهش دهد در عین حفظ عملکرد مناسب. با این حال، این رویکرد برخی چالش‌ها را نیز به همراه دارد، از جمله:

  1. بار تاخیر: تغییر تنظیمات ولتاژ و فرکانس باعث ایجاد بار تاخیری می‌شود که طی آن GPU ممکن است نیاز به توقف یا عملکرد در سطح کاهش یافته داشته باشد. این بار تاخیر باید به حداقل برسد تا مزایای DVFS بر هزینه‌های آن غلبه کند.

  2. پایداری و قابلیت اطمینان: تغییر ولتاژ و فرکانس می‌تواند بر پایداری و قابلیت اطمینان GPU تأثیر بگذارد. کنترلر DVFS باید اطمینان حاصل کند که تنظیمات ولتاژ و فرکانس در محدوده‌های عملیاتی ایمن قرار دارند و انتقال بین تنظیمات مختلف، روان و بدون اختلال است.

  3. تعامل با سایر تکنیک‌های مدیریت توان: DVFS ممکن است با سایر تکنیک‌های مدیریت توان، مانند خاموش کردن ساعت و خاموش کردن توان، تعامل داشته باشد. کنترلر DVFS باید با این تکنیک‌های دیگر هماهنگی داشته باشد تا تعادل بهینه میان توان و عملکرد حاصل شود.

مثال: DVFS در GPU موبایل

در نظر بگیرید یک GPU موبایل که سه تنظیم ولتاژ و فرکانس را پشتیبانی می‌کند:

  1. بالا: 1.0 ولت، 500 مگاهرتز
  2. متوسط: 0.9 ولت، 400 مگاهرتز
  3. پایین: 0.8 ولت، 300 مگاهرتز

GPU در حال اجرای یک بازی است که بین مراحل پردازشی-محور و حافظه-محور تغییر می‌کند. در طول مراحل پردازشی-محور، کنترلر DVFS GPU را به تنظیم بالا تنظیم می‌کند تا عملکرد را به حداکثر برساند. در طول مراحل حافظه-محور، کنترلر DVFS ولتاژ و فرکانس را به تنظیم متوسط کاهش می‌دهد تا مصرف توان را بدون تأثیر قابل توجه بر عملکرد کاهش دهد.

اگر دمای GPU از آستانه‌ای خاص فراتر رود، کنترلر DVFS ممکن است ولتاژ و فرکانس را به تنظیم پایین کاهش دهد.اینجا ترجمه فارسی فایل مارک‌داون است. برای کد، فقط نظرات را ترجمه کنید، نه خود کد:

راه‌حل‌های سرمایش GPU و بسته‌بندی

با قدرتمند‌تر شدن GPU ها و افزایش چگالی توان، راه‌حل‌های موثر سرمایش و تکنیک‌های بسته‌بندی به طور فزاینده‌ای مهم هستند تا عملکرد قابل اطمینان و عملکرد بهینه را تضمین کنند. راه‌حل‌های سرمایش برای رفع حرارت از GPU و حفظ دمای چیپ در محدوده عملکرد ایمن طراحی شده‌اند. تکنیک‌های بسته‌بندی برای ارائه رابط‌های حرارتی کارآمد بین GPU و راه‌حل سرمایش، همچنین برای محافظت از GPU در برابر آسیب‌های فیزیکی و عوامل محیطی استفاده می‌شوند.

سرمایش هوایی

سرمایش هوایی رایج‌ترین و مقرون به صرفه‌ترین راه‌حل سرمایش برای GPU ها است. این شامل استفاده از فین‌های حرارتی و فن‌ها برای انتشار حرارت از GPU به هوای اطراف است. فین‌های حرارتی یک جزء منفعل هستند که حرارت را از GPU منتقل می‌کنند و سطح گسترده‌ای برای انتشار حرارت فراهم می‌کنند. فن یک جزء فعال است که هوا را بر روی فین‌های حرارتی جریان می‌دهد تا انتقال حرارت همرفتی را بهبود بخشد.

شکل 9.6 یک راه‌حل سرمایش هوایی معمولی برای یک GPU را نشان می‌دهد.

        فن
         |
         |
    _____|_____
   |           |
   |  فین‌های حرارتی |
   |___________|
         |
         |
        GPU

شکل 9.6: راه‌حل سرمایش هوایی برای یک GPU.

کارایی یک راه‌حل سرمایش هوایی به چندین عامل بستگی دارد، از جمله:

  1. طراحی فین‌های حرارتی: فین‌های حرارتی باید سطح گسترده‌ای داشته باشند و هدایت حرارتی مؤثری داشته باشند تا انتشار حرارت را به حداکثر برسانند. مس و آلومینیوم معمولاً به دلیل هدایت حرارتی بالا برای فین‌های حرارتی استفاده می‌شوند.

  2. عملکرد فن: فن باید جریان هوای کافی را بر روی فین‌های حرارتی فراهم کند تا حرارت را به طور موثر رفع کند. سرعت فن و طراحی برش‌های آن می‌تواند برای تعادل بین عملکرد سرمایش و سطح صدا بهینه‌سازی شود.

  3. ماده رابط حرارتی (TIM): یک TIM، مانند خمیر حرارتی یا هفایل پیوست را به صورت فارسی ترجمه کردم. برای کدها، فقط توضیحات را ترجمه کردم و خود کدها را تغییر ندادم.

پد های ترمال، برای پر کردن فاصله بین GPU و هیت سینک استفاده می شوند، تا تماس حرارتی مناسبی برقرار شود. TIM باید هدایت حرارتی بالا و مقاومت حرارتی پایین داشته باشد.

۴. مدیریت جریان هوا: جریان هوای کلی داخل محفظه GPU باید بهینه سازی شود تا هوای خنک به درون کشیده شده و هوای داغ به خوبی تخلیه شود. این ممکن است شامل استفاده از فن های اضافی، کانال های هوا یا تهویه ها برای هدایت جریان هوا باشد.

خنک کاری هوایی برای بیشتر GPU های سطح مصرف کننده و برخی از GPU های سطح حرفه ای با مصرف توان متوسط مناسب است. اما برای GPU های پرقدرت با چگالی توان بسیار بالا، خنک کاری هوایی ممکن است کافی نباشد تا دمای مناسبی را حفظ کند و راه‌حل‌های خنک‌کاری پیشرفته‌تری مورد نیاز باشد.

خنک کاری مایع

خنک کاری مایع یک راه حل خنک کاری پیشرفته است که از یک سیال خنک کننده برای حذف حرارت از GPU استفاده می کند. خنک کاری مایع می تواند عملکرد حرارتی بهتری نسبت به خنک کاری هوایی ارائه دهد، چرا که مایعات ظرفیت حرارتی و هدایت حرارتی بالاتری نسبت به هوا دارند. دو نوع اصلی از راه حل های خنک کاری مایع برای GPU ها وجود دارد: خنک کننده های مایع همه در یک (AIO) و حلقه های سفارشی خنک کاری مایع.

خنک کننده های مایع AIO سیستم های بسته و از پیش مونتاژ شده ای هستند که شامل بلوک آب، رادیاتور، پمپ و لوله می باشند. بلوک آب مستقیماً روی GPU نصب می شود و سیال خنک کننده از طریق بلوک پمپاژ می شود تا حرارت را از GPU جذب کند. سیال خنک کننده داغ سپس به رادیاتور جریان می یابد، جایی که توسط فن ها خنک می شود قبل از اینکه به بلوک آب برگردد. خنک کننده های مایع AIO نسبتاً آسان برای نصب و نگهداری هستند، که آن‌ها را به یک گزینه محبوب برای GPU های گیمینگ پرقدرت تبدیل می کند.

حلقه های سفارشی خنک کاری مایع پیچیده‌تر و سفارشی‌سازی‌پذیرتر از خنک کننده‌های AIO هستند. آن‌ها شامل اجزای جداگانه ای مانند بلوک آب، رادیاتورها، پمپ ها، مخازن و لوله ها هستند که توسط کاربر مونتاژ می شوند. حلقه های سفارشی انعطاف پذیری بیشتری در انتخاب و چیدمان اجزا را فراهم می کنند، که می تواند برای خنک سازی و ظاهر موثرتر باشد. با این حال، طراحی آن ها به تخصص بیشتری نیاز دارد.اینجا ترجمه فارسی برای فایل مارک‌داون داده شده است. برای کد، فقط توضیحات را ترجمه کرده‌ام و کد را تغییر نداده‌ام.

شکل 9.7 یک راه حل خنک‌کاری مایع برای یک GPU را نشان می‌دهد.

        رادیاتور
           |
           |
        لوله‌کشی
           |
           |
        بلوک آب
           |
           |
          GPU

شکل 9.7: راه حل خنک‌کاری مایع برای یک GPU.

خنک‌کاری مایع می‌تواند مزایای متعددی نسبت به خنک‌کاری هوا داشته باشد، از جمله:

  1. دمای پایین‌تر GPU: خنک‌کاری مایع می‌تواند دمای GPU را در مقایسه با خنک‌کاری هوا پایین‌تر نگه دارد، که به افزایش فرکانس بوست و بهبود عملکرد منجر می‌شود.

  2. عملکرد آرام‌تر: سیستم‌های خنک‌کاری مایع می‌توانند در سرعت‌های پایین‌تر فن کار کنند، که به عملکرد آرام‌تر منجر می‌شود.

  3. پتانسیل بیشتر اورکلاک: دمای پایین‌تر و فضای حرارتی بهتر که توسط خنک‌کاری مایع فراهم می‌شود، می‌تواند اورکلاک بیشتر GPU را ممکن سازد.

با این حال، خنک‌کاری مایع همچنین برخی معایب مانند هزینه بالاتر، پیچیدگی و احتمال نشتی دارد. نگهداری مناسب، مانند تعویض منظم مایع خنک‌کننده و بررسی نشتی، برای تضمین اعتماد به سیستم‌های خنک‌کاری مایع در درازمدت بسیار مهم است.

تکنیک‌های بسته‌بندی

تکنیک‌های بسته‌بندی نقش بسیار مهمی در مدیریت حرارتی و قابلیت اطمینان GPU دارند. بسته‌بندی رابط بین چیپ GPU و راه‌حل خنک‌کاری را فراهم می‌کند و همچنین از آسیب فیزیکی و عوامل محیطی محافظت می‌کند. برخی از تکنیک‌های بسته‌بندی رایج برای GPU عبارتند از:

  1. Flip-Chip Ball Grid Array (FC-BGA): در بسته‌بندی FC-BGA، چیپ GPU معکوس شده و با استفاده از آرایه‌ای از گلوله‌های لحیم به زیرلایه بسته‌بندی متصل می‌شود. گلوله‌های لحیم اتصال الکتریکی و پشتیبانی مکانیکی را فراهم می‌کنند. FC-BGA به چگالی پایه بالا و عملکرد حرارتی خوب اجازه می‌دهد، زیرا پخش‌کننده حرارت می‌تواند مستقیماً به پشت چیپ GPU متصل شود.

  2. Chip-on-Wafer-on-Substrate (CoWoS): CoWoS یک تکنیک بسته‌بندی پیشرفته است که امکان ادغام چند چیپ، مانند GPU و حافظه HBM، در یک بسته واحد را فراهم می‌کند. چیپ‌ها ابتدا بهاینترپوزر سیلیکونی با استفاده از میکرو-برجستگی ها ساخته شده و سپس اینترپوزر با استفاده از تکنولوژی چیپ-وارونه به زیر بستر بسته بندی متصل می شود. CoWoS امکان ایجاد اتصالات پر پهنای باند و با تاخیر پایین بین GPU و حافظه، همچنین بهبود ارائه توان و مدیریت حرارتی را فراهم می کند.

  3. اتصال مستقیم چیپ (DCA): در بسته بندی DCA، تراشه GPU مستقیماً به PCB با استفاده از چسب هادی یا لحیم متصل می شود. این نیاز به یک زیر بستر بسته بندی جداگانه را از بین می برد و مقاومت حرارتی را کاهش و ارائه توان را بهبود می بخشد. با این حال، DCA به طراحی و مونتاژ دقیق PCB نیاز دارد تا اتصالات قابل اعتماد را تضمین و از آسیب به تراشه GPU جلوگیری کند.

  4. ماژول چندتایی (MCM): بسته بندی MCM شامل یکپارچه کردن چندین تراشه مانند GPU و حافظه در یک زیر بستر بسته بندی واحد است. تراشه ها با استفاده از سیم بند یا تکنولوژی چیپ-وارونه به هم متصل شده و زیر بستر بسته بندی اتصالات بین تراشه ها و پین های خارجی را فراهم می کند. بسته بندی MCM امکان تراکم بیشتر یکپارچه سازی و بهبود یکپارچگی سیگنال را در مقایسه با بسته بندی های مجزا فراهم می کند.

تکنیک های بسته بندی موثر باید موارد زیر را فراهم کنند:

  1. هدایت حرارتی خوب: بسته بندی باید مقاومت حرارتی پایینی داشته باشد تا انتقال حرارت موثر از تراشه GPU به راه حل خنک کاری را امکان پذیر کند.

  2. اتصالات الکتریکی قابل اعتماد: بسته بندی باید اتصالات الکتریکی پایدار و با مقاومت پایین بین تراشه GPU و PCB یا اینترپوزر را فراهم کند.

  3. محافظت مکانیکی: بسته بندی باید تراشه GPU را از آسیب های فیزیکی مانند ضربه، ارتعاش و خمش محافظت کند.

  4. محافظت محیطی: بسته بندی باید تراشه GPU را از عوامل محیطی مانند رطوبت، گرد و غبار و تداخل الکترومغناطیسی محافظت کند.

با افزایش چگالی توان GPU، تکنیک های پیشرفته بسته بندی مانند یکپارچه سازی 2.5D و 3D برای فراهم کردن مدیریت حرارتی کارآمد و اتصالات پرعملکرد بسیار مهم می شوند.

نتیجه گیری

مدیریت توان، انرژی و حرارتی جنبه های حیاتی در طراحی و ساخت GPU هستند.اینجا ترجمه فارسی فایل Markdown است. برای کد، فقط نظرات را ترجمه کرده‌ایم، نه کد را.

طراحی و عملکرد PU همانطور که GPUها قدرتمندتر و پرقدرت‌تر می‌شوند، تکنیک‌های مدیریت موثر ضروری هستند تا به حداکثر عملکرد، کارایی انرژی و قابلیت اطمینان دست یابند.

درک منابع مصرف انرژی، از جمله توان پویا و ساکن، برای توسعه استراتژی‌های موثر مدیریت توان بسیار مهم است. قطع ساعت و قطع توان به طور گسترده برای کاهش مصرف توان پویا و ساکن استفاده می‌شوند، به ترتیب با غیرفعال کردن اجزای استفاده نشده یا در حالت بی‌فعالی.

مقیاس‌پذیری ولتاژ و فرکانس پویا (DVFS) تکنیک قدرتمند دیگری است که می‌تواند مصرف توان GPU را به طور قابل توجهی کاهش دهد در حالی که عملکرد خوبی را حفظ می‌کند. با تنظیم پویای ولتاژ و فرکانس بر اساس بارکاری و شرایط حرارتی، DVFS می‌تواند تعادل خوبی بین عملکرد و کارایی توان به دست آورد.

راه‌حل‌های خنک‌کنندگی کارآمد و تکنیک‌های بسته‌بندی نیز برای مدیریت خروجی حرارتی GPUهای مدرن بسیار حیاتی هستند. خنک‌کردن هوا رایج‌ترین و مقرون به صرفه‌ترین راه‌حل است، اما خنک‌کردن مایع می‌تواند عملکرد حرارتی بهتری را برای GPU های با چگالی توان بسیار بالا فراهم کند. تکنیک‌های بسته‌بندی پیشرفته، مانند CoWoS و MCM، می‌توانند مدیریت حرارتی کارآمد و اتصالات با عملکرد بالا را امکان پذیر کنند.

همانطور که معماری GPU ها همچنان تکامل می‌یابند و چگالی توان افزایش می‌یابد، تکنیک‌های نوآورانه مدیریت توان، انرژی و حرارت ضروری خواهند بود تا به ادامه مقیاس‌پذیری عملکرد و کارایی GPU کمک کنند. تحقیق در زمینه‌هایی مانند الگوریتم‌های DVFS پیشرفته، تنظیم‌کننده‌های ولتاژ یکپارچه و تکنولوژی‌های بسته‌بندی پیشرفته نقش حیاتی در توانمندسازی نسل بعدی GPU های با عملکرد بالا و کارایی انرژی ایفا خواهد کرد.